CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl can

搜索资源列表

  1. DesignofCANRTLlevel

    0下载:
  2. CAN RTL级设计,详细介绍了符合CAN协议的芯片级设计。-Design of CAN RTL level
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:489251
    • 提供者:xu
  1. VHDL

    0下载:
  2. 电子密码锁设计,可以改为其他原理相似的设计,比如和汽车安全系统相关的毕业设计-The design of electronic locks can be replaced by other theories of similar design, and automotive safety systems such as the graduation project related
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:255363
    • 提供者:孙晓林
  1. vhdl

    0下载:
  2. 很好的课件,有需要的朋友可以下去看下。很多比较简单的说明-Very good software, there is a need of a friend can go facie
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:14307956
    • 提供者:quanquan
  1. vhdl

    0下载:
  2. 有一个关于VHDL的教程,可以学习一些VHDL的程序的写法-There is a tutorial on VHDL, VHDL can learn some of the wording of the procedure
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:169132
    • 提供者:y
  1. can

    0下载:
  2. can bus ctroller,the function of read and write-can bus contrller
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:819
    • 提供者:qiufeng
  1. cf_fft

    0下载:
  2. FFT using C and VHDL. can compute upto 1K, 2K and 4K in radix 2.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3318474
    • 提供者:mimi
  1. VHDL

    0下载:
  2. 双口RAM模块源代码(VHDL),用于开发FPGA的双口RAM,可以直接下载到工程中使用。-Dual-port RAM module source code (VHDL), for the development of FPGA' s dual-port RAM, can be directly downloaded to the project use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:738
    • 提供者:wu
  1. VHDL(LOCK)

    0下载:
  2. 数字密码锁的设计与实现 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习数字密码锁的设计 二.实验内容 设计一个数字密码锁,对其编译,仿真,下载。 数字密码锁具体要求如下: 1.系统具有预置的初始密码“00000001”。 2.输入密码与预存密码相同时,开锁成功,显示绿灯,否则开锁失败,显示红灯。 3.具有修改密码功能。修改密码时,先开锁,开锁成功才可以修改。 4.系统同时具有关锁功能。关锁后,显示红灯。 5.密码由拔码开关表
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:18105
    • 提供者:爱好
  1. VHDL(sin)

    0下载:
  2. 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:17414
    • 提供者:爱好
  1. can_latest.tar

    0下载:
  2. VHDL/VERILOG FOR CAN BUS Core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1176730
    • 提供者:mss
  1. VHDL-Vending-machine

    0下载:
  2. 用VHDL设计自动售货机,能实现自动找零的功能。-Vending machines with VHDL design, auto-change function can be realized.
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:540493
    • 提供者:毛毛
  1. VHDL-dianti

    0下载:
  2. 高楼电梯自动控制系统(Windows平台上运行的ispLEVER编程软件。 ): 1统控制的电梯往返于1-9层楼。 2客要去的楼层数可手动输入并显示(设为A数)。 3梯运行的楼层数可自动显示(设为B数)。 4A>B时,系统能输出使三相电机正转的时序信号,使电梯上升; 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降; 当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门; 5是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:34734
    • 提供者:
  1. can_latest[1].tar

    0下载:
  2. CAN,全称“Controller Area Network”,即控制器局域网,是国际上应用最广泛的现场总线之一。最初,CAN被设计作为汽车环境中的微控制器通讯,在车载各电子控制装置ECU之间交换信息,形成汽车电子控制网络。比如:发动机管理系统、变速箱控制器、仪表装备、电子主干系统中,均嵌入CAN控制装置。 -CAN, full name of the " Controller Area Network" , the Controller Area Network, is int
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1149787
    • 提供者:zhaohaiting
  1. X-HDL

    1下载:
  2. 一款可以在verilog和VHDL之间互换的工具,经测试,暂无bug-A verilog and VHDL can be exchanged between the tools, tested, no bug
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-04-29
    • 文件大小:3962880
    • 提供者:邵文熙
  1. can_controller

    0下载:
  2. 基于FPGA的VHDL,can总线控制的设计与实现,在ISE下弄的。-FPGA-based VHDL, can control the design and implementation of the bus, get under the ISE' s.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:351652
    • 提供者:洪依
  1. VHDL-3BCD

    0下载:
  2. 3位BCD码的计数显示电路。BCD码计数电路从0计到9然后返回到0从新计数。3位BCD码计数器可以实现从0到999的十进制计数。要将计数过程用七段显示LED数码管显示出来,这里采用动态分时总线切换电路对数码管进行扫描,对数码管依次分时选中进行输出计数的个、十、百位的数据。-3 BCD code count display circuit. BCD code counting circuit count from 0 to 9 and then back to 0 from the new cou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:55898
    • 提供者:will li
  1. VHDL

    0下载:
  2. This ebook introduces the basic use of VHDL.It provides lots of codings,you can make use of it.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6426537
    • 提供者:huli
  1. design-of-CAN-based-on-VHDL

    1下载:
  2. 基于Verilog+HDL设计CAN控制器,详细介绍各功能模块的设计。本论文的重点是CAN总线通信控制器的前端设计。即用Verilog HDL语言完成CAN协议的数据链路层的RTL级设计,实现其功能,并且能够在FPGA开发平台Quartos上通过仿真验证,证明其正确性-Verilog+ HDL-based design of CAN controller, detailed design of each functional module. This paper focuses on the C
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2615796
    • 提供者:chen xinwei
  1. VHDL

    0下载:
  2. 与神经网络有关的硬件描述语言实现与参考,用VHDL编写,可给大家参考一下-And neural network-related hardware descr iption language and reference, using VHDL can be your reference
  3. 所属分类:software engineering

    • 发布日期:2017-12-02
    • 文件大小:626453
    • 提供者:张强
  1. VHDL-AUTOMATIC-FAIR-COLLECTION

    0下载:
  2. 基于VHDL的地铁售票系统,可以实现票数输入以及找零功能-Metro ticketing system based VHDL, can enter the number of votes and the change function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1183024
    • 提供者:李超越
« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com